EDA技术与应用课后习题答案(3)

时间:2022-08-26 20:33:06 EDA技术培训 我要投稿
  • 相关推荐

EDA技术与应用课后习题答案

  SIGNAL Q : STD_LOGIC;

  BEGIN

  PR01: PROCESS(CLK0)

  BEGIN

  IF CLK ‘EVENT AND CLK=’1’

  THEN Q<=NOT(CL OR Q);ELSE

  END IF;

  END PROCESS;

  PR02: PROCESS(CLK0)

  BEGIN

  OUT1<=Q;

  END PROCESS;

  END ARCHITECTURE ONE;

  END PROCESS;

  4-5.给出1位全减器的VHDL描述。要求:

  (1) 首先设计1位半减器,然后用例化语句将它们连接起来,图3-32中h_suber是半减器,diff是输出差,s_out是借位输出,sub_in是借位输入。

  (2) 以1位全减器为基本硬件,构成串行借位的8位减法器,要求用例化语句来完成此项设计(减法运算是 x – y - sun_in = diffr)

  4-5.答案

  底层文件1:or2a.VHD实现或门操作

  LIBRARY IEEE;

  USE IEEE.STD_LOGIC_1164.ALL;

  USE IEEE.STD_LOGIC_UNSIGNED.ALL;

  ENTITY or2a IS

  PORT(a,b:IN STD_LOGIC;

  c:OUT STD_LOGIC);

  END ENTITY or2a;

  ARCHITECTURE one OF or2a IS

  BEGIN

  c <= a OR b;

  END ARCHITECTURE one;

  底层文件2:h_subber.VHD实现一位半减器

  LIBRARY IEEE;

  USE IEEE.STD_LOGIC_1164.ALL;

  USE IEEE.STD_LOGIC_UNSIGNED.ALL;

  ENTITY h_subber IS

  PORT(x,y:IN STD_LOGIC;

  diff,s_out::OUT STD_LOGIC);

  END ENTITY h_subber;

  ARCHITECTURE ONE OF h_subber IS

  SIGNAL xyz: STD_LOGIC_VECTOR(1 DOWNTO 0);

  BEGIN

  xyz <= x & y;

  PROCESS(xyz)

  BEGIN

  CASE xyz IS

  WHEN "00" => diff<='0';s_out<='0';

  WHEN "01" => diff<='1';s_out<='1';

  WHEN "10" => diff<='1';s_out<='0';

  WHEN "11" => diff<='0';s_out<='0';

  WHEN OTHERS => NULL;

  END CASE;

  END PROCESS;

  END ARCHITECTURE ONE;

  顶层文件:f_subber.VHD实现一位全减器

  LIBRARY IEEE;

  USE IEEE.STD_LOGIC_1164.ALL;

  USE IEEE.STD_LOGIC_UNSIGNED.ALL;

  ENTITY f_subber IS

  PORT(x,y,sub_in:IN STD_LOGIC;

  diffr,sub_out:OUT STD_LOGIC);

  END ENTITY f_subber;

  ARCHITECTURE ONE OF f_subber IS

  COMPONENT h_subber

  PORT(x,y:IN STD_LOGIC;

  diff,S_out:OUT STD_LOGIC);

  END COMPONENT;

  COMPONENT or2a

  PORT(a,b:IN STD_LOGIC;

  c:OUT STD_LOGIC);

  END COMPONENT;

  SIGNAL d,e,f: STD_LOGIC;

  BEGIN

  u1: h_subber PORT MAP(x=>x,y=>y,diff=>d,s_out=>e);

  u2: h_subber PORT MAP(x=>d,y=>sub_in,diff=>diffr,s_out=>f);

  u3: or2a PORT MAP(a=>f,b=>e,c=>sub_out);

  END ARCHITECTURE ONE;

  END ARCHITECTURE ART;

  4-6.根据下图,写出顶层文件MX3256.VHD的VHDL设计文件。

  4-6.答案

  MAX3256顶层文件

  LIBRARY IEEE;

  USE IEEE.STD_LOGIC_1164.ALL;

  USE IEEE.STD_LOGIC_UNSIGNED.ALL;

  ENTITY MAX3256 IS

  PORT (INA,INB,INCK: IN STD_LOGIC;

  INC: IN STD_LOGIC;

  E,OUT:OUT STD_LOGIC);

  END ENTITY MAX3256;

  ARCHITECTURE ONE OF MAX3256 IS

  COMPONENT LK35 --调用LK35声明语句

  PORT(A1,A2:IN STD_LOGIC;

  CLK:IN STD_LOGIC;

  Q1,Q2:OUT STD_LOGIC);

  END COMPONENT;

  COMPONENT D --调用D触发器声明语句

  PORT(D,C:IN STD_LOGIC;

  CLK:IN STD_LOGIC;

  Q:OUT STD_LOGIC);

  END COMPONENT;

  COMPONENT MUX21--调用二选一选择器声明语句

  PORT(B,A:IN STD_LOGIC;

  S:IN STD_LOGIC;

  C:OUT STD_LOGIC);

  END COMPONENT;

  SIGNAL AA,BB,CC,DD: STD_LOGIC;

  BEGIN

  u1: LK35 PORT MAP(A1=>INA,A2=>INB,CLK=INCK, Q1=>AA,Q2=>BB);

  u2: D PORT MAP(D=>BB;CLK=>INCK,C=>INC,Q=>CC);

  u3: LK35 PORT MAP (A1=>BB,A2=>CC,CLK=INCK, Q1=>DD,Q2=>OUT1);

  u4: MUX21 PORT MAP (B=>AA,A=>DD,S=>BB,C=>E);

  END ARCHITECTURE ONE;

  设计含有异步清零和计数使能的16位二进制加减可控计数器。

  4-7.答案:

  LIBRARY IEEE;

  USE IEEE.STD_LOGIC_1164.ALL;

  USE IEEE.STD_LOGIC_UNSIGNED.ALL;

  ENTITY CNT16 IS

  PORT(CLK,RST,EN:IN STD_LOGIC;

  CHOOSE:IN BIT;

  SETDATA:BUFFER INTEGER RANCE 65535 DOWNTO 0;